Page 6 of 9 FirstFirst ... 23456789 LastLast
Results 51 to 60 of 84

Thread: New Project - DCNincest64

                  
   
  1. #51
    DCEmu Coder
    Join Date
    Apr 2004
    Location
    Botoru Battalion
    Age
    52
    Posts
    257
    Rep Power
    78

    Default Re: New Project - DCNincest64

    GPF.. pops up when you least expect it.. stop whatever you're doing and leaves you in awe..
    all this time.. forgot to mention well done!!!!!!!!

  2. #52
    Dream Coder
    Join Date
    Apr 2004
    Location
    Miami, FL
    Age
    38
    Posts
    4,675
    Rep Power
    50

    Default Re: New Project - DCNincest64

    [quote author=guymelef link=board=dcemu;num=1091227578;start=45#47 date=07/31/04 at 20:10:15]I think that warez isn't an issue. Â*So far I think that emus on the DC are of a more elite class than emus for PC. the only warez concern for DC imo is DC backups. Â*I don't see people going out of their way to play snes, gb, spectrum, mame nes stuff on their DC when they have a PC or MAC handy. Â*Seriously this stuff takes a bit of brain power (not much but enough) just to burn right. especially when you talk about modding your DC to run a second-rate (no offense just trying to set the playing field) emulator for a system that no emu is near even 90% accurate. Â*that is not to say that has a dreamcast enthusiast that I am not looking forward to this project. Â*(a n64emu would be kickass no matter what the final product is). on the contrary I think that hardware mods is still a largely undiscovered country. I don't know how it will all work out but I am interested in trying. and maybe a by-product of it would be a cd-g drive for your PC that would play originals. of course the boards will be flooded with installing questions and complaints about irrerepairly damageing DC's. but hey that's the BIZ.[/quote]

    usually warez on DC becomes an issue because of repackagers, those who will learn how to burn something then put a bunch of roms on a CD and sell them.
    If anyone is looking to buy, sell, trade games and support a developer directly at the same time, consider joining Goozex. Enjoy!

  3. #53
    DCEmu Oldbie Eric's Avatar
    Join Date
    May 2004
    Location
    Ontario, Canada
    Age
    41
    Posts
    1,506
    Rep Power
    83

    Default Re: New Project - DCNincest64

    Games can also be warez if your using anything like original music,original graphics, or anything that video game companies have used in games that people are making homebrews. Am i right?

  4. #54
    Dream Coder
    Join Date
    Apr 2004
    Location
    Miami, FL
    Age
    38
    Posts
    4,675
    Rep Power
    50

    Default Re: New Project - DCNincest64

    depends. iirc it is legally ok to use copyrighted stuff if what you are doing is for private use or is free.
    If anyone is looking to buy, sell, trade games and support a developer directly at the same time, consider joining Goozex. Enjoy!

  5. #55

    Default Re: New Project - DCNincest64

    This discussion seems to be pointless. In fact it is still illegal if you use ANY copyrighted stuff (like Beats of Rage does) but the companies aren't too concerned about fan developments if it's not for commercial use.

    I'd say it's similar to do a Tetris styled game from scratch for non-commercial use (Tetris is copyrighted by Nintendo) or doing a Fan site containing artworks, cheats, patches & stuff or remix an old VG tune or dump an existing tune or create a Midi or just creating an emulator.

    But any decent coder doesn't want to rip existing stuff (except for time issues) and aims to create a completly original game at one point (see Ages of the Beast = Beats of Rage 2). Something I'd probably never be able to do. :-[

    Chris

  6. #56
    DCEmu Regular
    Join Date
    May 2004
    Location
    kansas, or florida
    Posts
    330
    Rep Power
    78

    Default Re: New Project - DCNincest64

    hey kamjin, fpga?
    funny
    pants
    golf
    association?
    I know "if you have to ask, then you probably shouldn't know."
    but I am interseted in serious dc hardware mods and not just a fancy case or some silly lights. (personally I think this whole light in your computer case is mildly gay)

  7. #57
    DCEmu Regular
    Join Date
    May 2004
    Location
    kansas, or florida
    Posts
    330
    Rep Power
    78

    Default Re: New Project - DCNincest64

    anyway if I wasn't clear on it i would like to say that I am waiting for this emu. and I wish the best for GPF. always have. just trying to do all the nay-saying in a constructive manner before the vultures get to him

  8. #58

    Default Re: New Project - DCNincest64

    [quote author=Christuserloeser link=board=dcemu;num=1091227578;start=45#54 date=08/01/04 at 02:26:19]I'd say it's similar to do a Tetris styled game from scratch for non-commercial use (Tetris is copyrighted by Nintendo)[/quote]Tetris is not copyrighted by Nintendo, the copyright holder is Tetris Company, LLC. Creating a clone, even for commercial use is not illegal, as long as you don't use graphics or names from the original source. This would make our DC tetris games technically illegal - Those that use part or whole of the name in the game or a similar name (ie: Tetris, Tetris Clone, Clonetris, TetrisCD, Tetris 2, or -tris - Clonetris, and similar named games - Titris), and those that use graphics from the original source (ie: DCQuad). If I made a Tetris game with completely original graphics, and called it MetaFox's Generic Clone - it wouldn't be illegal. (Copyright laws are peculiar in that regard ).

  9. #59

    Default Re: New Project - DCNincest64

    [quote author=christuserloeser link=board=dcemu;num=1091227578;start=45#57 date=08/01/04 at 03:04:00]or just creating an emulator[/quote]Emulation is not illegal as long as it was done purely by disassembly without the use of any official documentation, and it doesn't require the use of a BIOS dump from the machine in question.

  10. #60
    DCEmu Coder
    Join Date
    Apr 2004
    Location
    Botoru Battalion
    Age
    52
    Posts
    257
    Rep Power
    78

    Default Re: New Project - DCNincest64

    [quote author=guymelef link=board=dcemu;num=1091227578;start=45#55 date=08/01/04 at 02:42:18]hey kamjin, fpga?
    funny
    pants
    golf
    association?
    I know "if you have to ask, then you probably shouldn't know."
    but I am interseted in serious dc hardware mods and not just a fancy case or some silly lights. Â*(personally I think this whole light in your computer case is mildly gay)[/quote]
    Hehehe.. FPGA.. that's a good one..
    Field Prgrammable Gate arrayis from a family of "logic" devices starting as far back as the PROM, EPROM, PLA, PAL, GAL, CPLD (more acronyms!)
    They are basically an array of logic gates (AND, OR, and NOT) with the ability to connect them how you like, in essence you use them to replace a bunch of ttl chips 1 GAL (18pin Generic Logic array) can do the same as about 2-4 TTL chips, as well the method to program them is done though a language like this.. known as HDL
    Code:
    /** Inputs **/
    Pin 1     = inv    ;  
    Pin 2     = and1   ;  
    Pin 3     = and2   ;   
    Pin 4     = or1    ;   
    Pin 5     = or2    ;   
    Pin 6     = xor1   ;   
    Pin 7     = xor2   ;   
    Pin 8     = nand1   ;   
    Pin 9     = nand2   ;   
    Pin 10    = GND    ;   
    Pin 20    = VCC    ;   
    
    /** Outputs **/
    Pin 19    = invout  ;   
    Pin 18    = bufout  ;   
    Pin 17    = andout  ;   
    Pin 16    = nandout  ;   
    Pin 15    = orout   ;   
    Pin 14    = norout  ;   
    Pin 13    = bnandout ;   
    Pin 12    = bandout  ;  
    
    /** Logic Equations **/
    invout = !inv ;
    bufout = inv ;
    andout = and1 & and2 ;
    nandout = !andout ;
    orout = or1 #or2 ;
    norout = !orout ;
    !bnandout = nand1 & nand2 ;
    bandout = nand1 & nand2 ;
    A FPGA can litterally contain a small CPU, Video chip.. you could litterally put an entire C=64/Apple2 inside on of those, and the language used to program them Verilog/VHDL is almost as though you were programing in C

    Here's an example of a 6502's cpu Program counter
    Code:
     process (clk, reset)
      variable pc_add : std_logic_vector(15 downto 0);
      variable pc_inc : std_logic_vector(15 downto 0);
     begin
      if reset='1' then
       pc <= "0000000000000000";
      elsif clk'event and clk='1' then
       pc_add := pc +
            (data_in(7) & data_in(7) & data_in(7) & data_in(7) &
             data_in(7) & data_in(7) & data_in(7) & data_in(7) &
             data_in);
       pc_inc := pc + '1';
       if state=fetch then
        pc <= pc_inc;
       else
        case pc_op is
          when MC_NOP =>  -- Do nothing
          when MC_INC =>  pc <= pc_inc;
          when MC_BCC =>  if c_flag='0' then pc<=pc_add; end if;
          when MC_BCS =>  if c_flag='1' then pc<=pc_add; end if;
          when MC_BEQ =>  if z_flag='1' then pc<=pc_add; end if;
          when MC_BNE =>  if z_flag='0' then pc<=pc_add; end if;
          when MC_BMI =>  if n_flag='1' then pc<=pc_add; end if;
          when MC_BPL =>  if n_flag='0' then pc<=pc_add; end if;
          when MC_BVC =>  if v_flag='0' then pc<=pc_add; end if;
          when MC_BVS =>  if v_flag='1' then pc<=pc_add; end if;
          when MC_SPLIT => pc <= data_in & dint1;
          when others =>  -- Do nothing
        end case;
       end if;
      end if;
     end process;
    Hope this this explains more then it confuses..

Page 6 of 9 FirstFirst ... 23456789 LastLast

Thread Information

Users Browsing this Thread

There are currently 1 users browsing this thread. (0 members and 1 guests)

Tags for this Thread

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •